Minor cleanup
This commit is contained in:
parent
7dc7f2765f
commit
0d4b733da6
|
@ -1,5 +1,5 @@
|
|||
.venv/
|
||||
*.egg-infp
|
||||
*.egg-info
|
||||
*.pyc
|
||||
__pycache__
|
||||
build/
|
||||
|
|
|
@ -14,16 +14,13 @@ class Blinker(Elaboratable):
|
|||
counter = Signal(range(self.maxperiod + 1))
|
||||
|
||||
with m.If(counter == 0):
|
||||
m.d.sync += [
|
||||
led.eq(~led),
|
||||
counter.eq(self.maxperiod)
|
||||
]
|
||||
m.d.sync += led.eq(~led)
|
||||
m.d.sync += counter.eq(self.maxperiod)
|
||||
with m.Else():
|
||||
m.d.sync += counter.eq(counter - 1)
|
||||
|
||||
return m
|
||||
|
||||
|
||||
if __name__ == "__main__":
|
||||
plat = ICEBreakerPlatform()
|
||||
#main(plat, ports=[plat.led])
|
|
@ -1,11 +1,11 @@
|
|||
[tool.poetry]
|
||||
name = "fpga"
|
||||
version = "0.1.0"
|
||||
description = ""
|
||||
description = "playing around with nmigen"
|
||||
authors = ["Peder Bergebakken Sundt <pbsds@hotmail.com>"]
|
||||
|
||||
[tool.poetry.dependencies]
|
||||
python = "^3.7"
|
||||
python = "~3.7"
|
||||
yowasp-nextpnr-ice40-all = "^0.0.post2658.dev17"
|
||||
nmigen-dg = {git = "https://github.com/pbsds/nmigen_dg"}
|
||||
nmigen = "^0.2"
|
||||
|
|
Loading…
Reference in New Issue