diff --git a/.gitignore b/.gitignore index 143bb20..a034419 100644 --- a/.gitignore +++ b/.gitignore @@ -1,5 +1,5 @@ .venv/ -*.egg-infp +*.egg-info *.pyc __pycache__ build/ diff --git a/README.md b/README.md new file mode 100644 index 0000000..e69de29 diff --git a/fpga/ice40.py b/fpga/icebreaker.py similarity index 84% rename from fpga/ice40.py rename to fpga/icebreaker.py index 2d17d7c..0b50e97 100644 --- a/fpga/ice40.py +++ b/fpga/icebreaker.py @@ -14,16 +14,13 @@ class Blinker(Elaboratable): counter = Signal(range(self.maxperiod + 1)) with m.If(counter == 0): - m.d.sync += [ - led.eq(~led), - counter.eq(self.maxperiod) - ] + m.d.sync += led.eq(~led) + m.d.sync += counter.eq(self.maxperiod) with m.Else(): m.d.sync += counter.eq(counter - 1) return m - if __name__ == "__main__": plat = ICEBreakerPlatform() #main(plat, ports=[plat.led]) diff --git a/pyproject.toml b/pyproject.toml index 59bb66d..4d57ccc 100644 --- a/pyproject.toml +++ b/pyproject.toml @@ -1,11 +1,11 @@ [tool.poetry] name = "fpga" version = "0.1.0" -description = "" +description = "playing around with nmigen" authors = ["Peder Bergebakken Sundt "] [tool.poetry.dependencies] -python = "^3.7" +python = "~3.7" yowasp-nextpnr-ice40-all = "^0.0.post2658.dev17" nmigen-dg = {git = "https://github.com/pbsds/nmigen_dg"} nmigen = "^0.2"